ID bài viết: 000085686 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 10/05/2011

Cảnh báo quan trọng: Đầu ra đồng |wire_pll1_clk PLL[0] phát hiện lõi có tần số đầu ra bất hợp pháp <pll clock="" frequency="" high="" speed=""> phải nhỏ hơn <device clock="" fmax="" network=""></device></pll>

Môi Trường

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Mô tả

Do có vấn đề trong phần mềm Quartus® II phiên bản 10.0, 10.0 SP1, 10.1 và 10.1 SP1, bạn có thể thấy cảnh báo quan trọng này khi triển khai siêu chức năng ALTLVDS_TX sử dụng chế độ PLL bên ngoài. Khi sử dụng chế độ PLL ngoài, bạn cần thêm thanh ghi trong RTL trước cổng tx_in và các thanh ghi đó phải được đếm nhịp với đầu ra PLL được sử dụng làm "song song" hoặc "coreclk" tốc độ chậm tương đương với tốc độ dữ liệu chia cho hệ số nối tiếp.

Sự cố này xảy ra nếu bạn bật tùy chọn Sử dụng PLL bên ngoài trên trang Chung của Trình quản lý Trình cắm ALTLVDS_TX MegaWizard™ sau khi bạn chọn tx_coreclock hoặc tx_inclock làm giá trị cho cổng đầu vào 'tx_in' đăng ký sử dụng tham số trên trang Cài đặt Tần số/PLL. Do vấn đề trong phần mềm Quartus II, tệp biến thể ALTLVDS_TX có thể được viết không chính xác để đồng hồ tốc độ cao từ PLL được kết nối với thanh ghi. Điều này có thể vi phạm Fmax mạng đồng hồ cho thiết bị.

Để xác minh xem thiết kế của bạn có bị ảnh hưởng bởi vấn đề này hay không, hãy mở tệp biến thể và tìm kiếm tham số sau hoặc chung:

  • Verilog HDL (trong phần defparam): ALTLVDS_TX_component.registered_input
  • VHDL (trong phần BẢN ĐỒ CHUNG): registered_input

Tham số chính xác nên là khi OFF sử dụng chế độ PLL ngoài. Giá trị có thể được đặt không chính xác thành TX_CORECLOCK hoặc TX_INCLOCK.

Để khắc phục vấn đề này, hãy làm theo các bước sau:

  1. Mở tệp ALTLVDS_TX varation bằng Trình quản lý Trình cắm MegaWizard
  2. Trên trang Chung, tắt tùy chọn Sử dụng PLL ngoài
  3. Trên trang cài đặt Tần số/PLL , tắt cổng đầu vào 'tx_in' của Đăng ký tùy chọn bằng cách sử dụng
  4. Quay trở lại trang Chung và bật lại tùy chọn Sử dụng PLL bên ngoài
  5. Nhấp vào Hoàn tất để những thay đổi này được thực hiện cho tệp biến thể

Vấn đề này đã được khắc phục bắt đầu với phần mềm Quartus II phiên bản 11.0.

Các sản phẩm liên quan

Bài viết này áp dụng cho 1 sản phẩm

Thiết bị có thể lập trình Intel®

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.