ID bài viết: 000094125 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 19/03/2023

Chương trình cơ sở thiết bị mới nhất có sẵn cho phiên Intel® Quartus® Prime Phiên bản Pro phiên bản 22.4 là gì?

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • Công cụ và Lập trình Intel® Quartus® Prime Phiên bản Pro
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Sự cố quan trọng

    Mô tả

    Bạn có thể tải xuống chương trình cơ sở thiết bị mới nhất cho phiên Intel® Quartus® Prime Pro phiên bản Phần mềm 22.4 từ các liên kết sau.

    Các bản sửa lỗi cho các sự cố sau đây được bao gồm trong bản phát hành mới nhất (Bản phát hành mới nhất chứa tất cả các bản sửa lỗi trước đó và thay thế các bản phát hành chương trình cơ sở thiết bị trước đó).

    Thay đổi Nhật ký

    Phiên bản chương trình cơ sở 0.03fw:

    • Sửa công cụ định dạng NW để đề cập đến tình huống ghi đè mà bộ nhớ nằm trong cột bRAM cuối cùng trong mảng được ghi đè. Thời gian cấu hình tuyên truyền được tăng lên.
    • Cập nhật chương trình cơ sở để khắc phục sự cố trong đó số lượng tiêu đề lẻ không được trang chính xác khi sao chép từ thông qua ROM vào bộ đệm cục bộ. Thêm trường hợp chuyên dụng cho số lượng tiêu đề lẻ.

    Phiên bản chương trình cơ sở 0.06fw:

    • Cập nhật Hệ thống từ xa Intel® Stratix® 10 cho SoC: Hình ảnh cập nhật nhà máy có thể bị lỗi dẫn đến các bảng RSU CPB bị hỏng nếu khe cuối cùng của bảng CPB đang được sử dụng (không khả dụng) hoặc nếu Hình ảnh Cập nhật nhà máy sử dụng đầu (khe cắm cuối) của bảng CPB.
    • ® Intel Agilex FPGA: Có thể thấy các lỗi liên tục khi sử dụng Chân đặt lại lạnh HPS trong chế độ khởi động FPGA Đầu tiên ở chế độ cấu hình ASx4.
    • ® Intel Agilex FPGA: Cập nhật hệ thống từ xa có thể không phục hồi sau khi sử dụng hình ảnh ứng dụng thiết bị không chính xác.

    Phiên bản chương trình cơ sở 0.21fw:

    • Sửa lỗi trong GUI Bộ tạo tập tin lập trình - Khi địa chỉ phân vùng P1 được nhập bằng cách thủ công với cùng giá trị mà tệp .map cho tệp tin được tạo tự động cho biết, các tệp .rpd không khớp nhau.

     

    Vui lòng xem những điều sau:

    - Cập nhật Chương trình cơ sở SDM trong Hướng dẫn Sử dụng Intel Agilex® FPGA mềm

    - Cập nhật Chương trình cơ sở SDM trong hướng dẫn sử dụng Intel® Stratix® 10 FPGA hành

    Độ phân giải

    Tải xuống Chương trình cơ sở thiết bị mới nhất từ các liên kết sau.

    Lưu ý:

    • Các bản vá phải được áp dụng cho Phiên Intel® Quartus® Prime Phiên bản Pro và Trình lập trình và Công cụ phần mềm Intel® Quartus® Prime Phiên bản Pro.

    • Không bắt buộc phải biên dịch lại.

    • Tất cả các tệp Lập trình nên được tạo lại.

      • Chạy lại quá trình tạo hoặc chuyển đổi tệp lập trình bằng Intel® Quartus® tạo tệp lập trình mới.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Intel® Stratix® 10 và FPGA SoC

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.