ID bài viết: 000090313 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 06/04/2022

Tại sao báo cáo lỗi đồng hồ không bị hạn chế khi sử dụng Trình dỡ bỏ đăng ký thông báo lỗi Intel® FPGA IP?

Môi Trường

  • Intel® Quartus® Prime Phiên bản Tiêu chuẩn
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Do sự cố trong Phần mềm phiên bản tiêu chuẩn Intel® Quartus® Prime phiên bản 20.1 trở lên, đồng hồ không bị hạn chế được báo cáo trong báo cáo thời gian kiểm tra trong Bộ phân tích thời gian như được hiển thị bên dưới khi sử dụng Trình dỡ bỏ đăng ký thông báo lỗi Intel® FPGA IP. Sự cố này xảy ra trên Cyclone® V FPGAs.

    emr_unloader_component|current_state. STATE_CLOCKHIGH; Nút được xác định là nguồn cấp một cổng đồng hồ nhưng được tìm thấy mà không có tác vụ đồng hồ liên quan.

    emr_unloader_component|crcblock_atom:emr_atom|generate_crcblock_atom.emr_atom~FF_** ; Không có đồng hồ nào nguồn cấp dữ liệu cổng đồng hồ của thanh ghi này.

    Độ phân giải

    Để giải quyết vấn đề này, hãy thêm create_generated_clock giới hạn trong tệp SDC của bạn.

    Ví dụ:

    create_generated_clock -name emr_unloader_STATE_CLOCKHIGH -source [get_ports {}] [get_keepers {| EMR_unloader0:inst| EMR_unloader0_emr_unloader2_0:emr_unloader2_0|altera_emr_unloader:emr_unloader_component|current_state. STATE_CLOCKHIGH}]

     

     

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Cyclone® V và FPGA SoC

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.