ID bài viết: 000084772 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 22/04/2015

Lỗi (177021): Trình điều khiển đồng hồ bên ngoài <altclkctrl instance="" name=""> sd1 không thể có cài đặt ENA_REGISTER_MODE reg kép</altclkctrl>

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • IP FPGA Intel® ALTCLKCTRL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Bạn sẽ thấy thông báo lỗi này trong phần mềm Quartus® II khi sử dụng hàm ALTCLKCTRL mega được đặt cho đường dẫn bên ngoài và cổng 'ena'. Điều này ảnh hưởng đến các dòng thiết bị Stratix® V, Arria® V và Cyclone® V.

    Cài đặt pháp lý duy nhất được hỗ trợ cho Làm thế nào để bạn muốn đăng ký cổng 'ena' là "cạnh rơi của đồng hồ đầu vào". Tuy nhiên, do lỗi trong phần mềm Quartus II lên đến phiên bản 13.0 SP1, bạn sẽ nhận được lỗi sau trong quá trình biên dịch thiết kế khi sử dụng tùy chọn này trong chức năng lớn ALTCLKCTRL:

    Lỗi (15845): Giá trị bất hợp pháp "biên rơi" cho tham số ena_register_mode trong Khối Kích hoạt Đồng hồ "<tên phiên bản altclkctrl> sd1" -- giá trị phải được đăng ký kép khi sử dụng đầu vào ena và loại đồng hồ là "Đầu ra Đồng hồ Bên ngoài".

    Độ phân giải

    Nếu bạn yêu cầu sử dụng bộ hàm lớn ALTCLKCTRL cho đường dẫn bên ngoài và cổng \'ena\' trong các dòng thiết bị bị ảnh hưởng, hãy liên hệ với mySupport và cung cấp số tham chiếu sau để giải quyết vấn đề: rd08082013_561.

    Sự cố này được khắc phục trong Intel® Quartus® 13.1.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 15 sản phẩm

    FPGA SoC Cyclone® V SX
    FPGA Stratix® V E
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA SoC Arria® V ST
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Cyclone® V E
    FPGA SoC Cyclone® V SE
    FPGA Cyclone® V GX
    FPGA Stratix® V GT
    FPGA Stratix® V GS
    FPGA Arria® V GZ
    FPGA SoC Arria® V SX
    FPGA SoC Cyclone® V ST

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.