Do vấn đề với phần mềm Intel® Quartus® Prime mới, bạn có thể thấy cảnh báo Phân tích Thời gian liên quan đến đồng hồ không có hạn chế.
Cần có những hạn chế về thời gian để vận hành chính xác CÁC IP SEU (IP phun lỗi và phát hiện SEU nâng cao). Người dùng phải cung cấp những hạn chế này trong tệp Hạn chế Thiết kế Synopsys* (.sdc).
Để giải quyết vấn đề này, hãy thêm các ràng buộc này bằng cách thêm chúng trực tiếp vào tệp ràng buộc SDC hiện có hoặc đưa chúng vào một tệp riêng biệt (ví dụ: "seu_constraints.sdc")
Hạn chế # đối với IP SEU
create_clock -name intosc -period 10,000 [get_nets {*|alt_fault_injection_component|alt_fi_inst|intosc}]
create_generated_clock -name emr_unloader_STATE_CLOCKHIGH -source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*altera_emr_unloader:emr_unloader_component|current_state. STATE_CLOCKHIGH}]
create_generated_clock -name asd_current_state_MISS -source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*|asd_cache:asd_cache_inst|current_state. STATE_MISS}]
create_generated_clock -name asd_cpuread_oneshot -source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*|asd_cache:asd_cache_inst|asd_ext_oneshot:cpuread_oneshot|last}]
set_clock_groups -exclusive -group [get_clocks {emr_unloader_STATE_CLOCKHIGH}]
set_clock_groups -exclusive -group [get_clocks {asd_current_state_MISS}]
set_clock_groups -exclusive -group [get_clocks {asd_cpuread_oneshot}]