ID bài viết: 000083191 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

Những thay đổi cần thiết cho cấu hình chế độ Cơ bản (PMA Direct) trong Bộ thu phát Stratix IV nếu tôi sử dụng phần mềm Quartus II phiên bản 9.0SP1?

Môi Trường

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Mô tả

Altera đã xác định các vấn đề sau đây trong Quartus® II 9.0SP1 cho thiết bị Stratix® Bộ thu phát IV Cấu hình chế độ cơ bản (PMA Direct).

1. Tần số tx_clkout trong phần cứng gấp hai lần giá trị mong đợi khi băng thông kênh là 16 hoặc 20 bit (chỉ áp dụng cho cấu hình PMA Direct xN)

2. Cài đặt bit không chính xác trong cài đặt giao diện kết cấu thu phát FPGA ở phía bộ phát và bộ thu khi băng thông kênh là 16 bit, dẫn đến lỗi bit.

3. Mô hình Thời gian phần mềm là sơ bộ có thể dẫn đến vi phạm thời gian cho các thiết kế sử dụng cấu hình chế độ Cơ bản (PMA Direct).

Để giải quyết các vấn đề 1 và 2, cài đặt bản vá từ các liên kết sau cho phiên bản Phần mềm Quartus II 9.0SP1 và biên dịch lại thiết kế.

http://www.altera.com/patches/quartus/90sp1/pc_quartus_ii_90sp1_sivgx_patch_1_25.zip
http://www.altera.com/patches/quartus/90sp1/linux_quartus_ii_90sp1_sivgx_patch_1_25.tar
Readme.txt của Linux -http://www.altera.com/patches/quartus/90sp1/linux_quartus_ii_90sp1_sivgx_patch_1_25.txt

Để giải quyết vấn đề 3, hãy làm theo các hướng dẫn thiết kế và các hạn chế về thời gian được cung cấp dưới đây

Hướng dẫn thiết kế
a) Để đáp ứng các yêu cầu thiết lập và giữ thời gian trên giao diện cấu FPGA thu,
Thu thập dữ liệu song song (rx_dataout) bằng cách sử dụng cạnh dương của đồng hồ đã phục hồi (rx_clkout) và thêm các ràng buộc đa chu kỳ sau trong tệp SDC.
set_multicycle_path -setup -from [get_registers rx_data_reg*] 0
set_multicycle_path -hold -from [get_registers rx_data_reg*] 0
rx_data_reg là các thanh ghi được sử dụng để thu thập dữ liệu RX từ rx_dataout của RX PMA trong lõi FPGA mềm.

Nếu thiết kế đã biên dịch của bạn bằng cách sử dụng quy trình này cho thấy các vi phạm thời gian (phụ thuộc vào tốc độ thu phát và mức sử dụng logic của bộ thu phát), hãy sử dụng cạnh âm của rx_clkout để ép nhịp dữ liệu song song nhận được và loại bỏ các hạn chế đa chu kỳ đã đề cập ở trên khỏi tệp SDC.

b) Để đáp ứng các yêu cầu thiết lập và giữ thời gian trên giao FPGA máy phát kết cấu,
tham khảo ghi chú ứng dụng
AN580 -Đạt được thời gian đóng trong chế độ Cơ bản (PMA Direct)


Hạn chế thời gian: Chỉ thêm các ràng buộc này trong tệp SDC nếu sử dụng 9.0SP1. Loại bỏ những hạn chế này nếu bạn nâng cấp lên phiên bản Quartus II 9.0SP2.

đặt pma_direct_variation
foreach_in_collection rxpma_clockout_pin [get_pins -compatibility_mode

*|_alt4gxb_*|receive_pma*|clockout]
{
đặt rxpma_clockout [get_pin_info -name ]
regsub "(.*|_alt4gxb_.*|receive_pma\d |) (clockout)" "\1deserclock[0]" rxpma_clocksrc

create_generated_clock -source -master_clock -name


set_clock_uncertainty -hold -từ -đến 1.0
}

Thay bằng tên của mô-đun PMA Direct ALTGX

Các sản phẩm liên quan

Bài viết này áp dụng cho 2 sản phẩm

FPGA Stratix® IV GX
FPGA Stratix® IV GT

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.