ID bài viết: 000080859 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 17/07/2019

Lỗi mô phỏng Riviera* của giao diện ánh xạ bộ nhớ Intel® Stratix® 10 FPGA Avalon® cho các giải pháp PCI Express*

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • IP cứng Avalon-ST Intel® Stratix® 10 cho PCI Express*
  • IP+ cứng Avalon-MM Intel® Stratix® 10 cho PCI Express*
  • IP cứng Avalon-MM Intel® Stratix® 10 cho PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Sự cố quan trọng

    Mô tả

    Do sự cố với công cụ mô phỏng ALDEC* Riviera*, lỗi sau hoặc lỗi tương tự sẽ xảy ra khi mô phỏng Giao diện ánh xạ bộ nhớ Intel® Stratix® 10 FPGA Avalon® cho IP PCI Express*.

    SLPENFORCE: Lỗi nghiêm trọng: AdvancedDcslOptimization.cpp (572): Lỗi nghiêm trọng nội bộ

    Độ phân giải

    Không có giải pháp thay thế nào khi sử dụng công cụ mô phỏng ALDEC* Riviera*. Vấn đề này không được nhìn thấy với các mô phỏng được hỗ trợ khác.

    Sự cố này đã được báo cáo cho ALDEC*. Bản sửa lỗi được lên kế hoạch cho bản phát hành trong tương lai của công cụ mô phỏng ALDEC* Riviera*.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 5 sản phẩm

    FPGA Intel® Stratix® 10 MX
    FPGA Intel® Stratix® 10 TX
    FPGA Intel® Stratix® 10 và FPGA SoC
    FPGA Intel® Stratix® 10 GX
    FPGA SoC Intel® Stratix® 10 SX

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.