ID bài viết: 000079605 Loại nội dung: Thông tin sản phẩm & Tài liệu Lần duyệt cuối: 13/02/2006

Làm cách nào để thực hiện mô phỏng VHDL trước hoặc sau biên dịch trong Mô hình Công nghệ V-System/VHDL?

Môi Trường

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Mô tả Đối với mô phỏng biên dịch trước, thực hiện các bước sau. 1. Tạo thiết kế của bạn trong MAX mềm PLUS II. 2. Tạo một thư mục mới trong thư mục làm việc cục bộ của bạn. 3. Sao chép thiết kế của bạn .vhd vào thư mục mới. 4. Trước khi biên dịch .vhd< file, create a design library to hold the compilation results by typing the following command at a UNIX or PC prompt. A subdirectory will be created in your current working directory. vlib 5. Biên dịch bộ nhớ của bạn Tệp .vhd bằng cách gõ các mục sau Lệnh: vcom (Vcom) .vhd 6. Gọi trình mô phỏng, bằng cách gõ lệnh sau: vsim Đối với mô phỏng sau biên dịch, tạo một thiết kế MAX PLUS II, biên dịch nó, và sau đó sử dụng Tập tin đầu ra VHDL kết quả (.vho) để hoàn thành các bước từ 2 đến 6.

Các sản phẩm liên quan

Bài viết này áp dụng cho 1 sản phẩm

Thiết bị có thể lập trình Intel®

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.