ID bài viết: 000079458 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

VHDL 2008 có được hỗ trợ với Qsys và SOPC Builder không?

Môi Trường

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Mô tả

Có một giới hạn đã biết với Trình chỉnh sửa thành phần trong SoPC Builder và Qsys. Nó không cho phép người dùng chỉ định phiên bản ngôn ngữ nào được sử dụng để phân tích tệp nguồn VHDL.

Để giải quyết vấn đề này, thêm dòng chú thích sau ở đầu tệp VHDL thành phần tùy chỉnh.

- altera vhdl_input_version vhdl_2008

Chỉ thị này hướng dẫn front-end sử dụng VHDL 2008 cho phần còn lại của tệp.

Để biết thông tin về các cấu trúc VHDL 2008 được định nghĩa trong phiên bản IEEE Std 1076-2008 được Quartus II hỗ trợ, hãy tham khảo Trợ giúp Quartus II trên hỗ trợ VHDL 2008 tại:

http://quartushelp.altera.com/current/master.htm#mergedProjects/hdl/vhdl/vhdl_list_2008_vhdl_support.htm

Các sản phẩm liên quan

Bài viết này áp dụng cho 1 sản phẩm

Thiết bị có thể lập trình Intel®

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.