ID bài viết: 000078521 Loại nội dung: Thông tin sản phẩm & Tài liệu Lần duyệt cuối: 30/07/2015

Làm cách nào để hạn chế đồng hồ PLL khi sử dụng bộ chuyển đổi đồng hồ trong các thiết bị 28 nm?

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Do có vấn đề trong phần mềm Quartus® II phiên bản 10.1 trở lên, derive_pll_clocks lệnh không chính xác hạn chế tất cả các đồng hồ khi sử dụng Altera_PLL với bộ chuyển đổi đồng hồ PLL. Vấn đề này ảnh hưởng đến các thiết kế Stratix® các thiết bị Stratix® V, Arria® V Cyclone® V. Thay vì tạo đồng hồ liên kết với mỗi đồng hồ tham chiếu đầu vào, chỉ derive_pll_clocks tạo đồng hồ cho đồng hồ tham chiếu đầu tiên.

    Độ phân giải

    Để hạn chế chính xác Altera_PLL đầu ra cho mỗi đồng hồ tham chiếu, create_generated_clock hãy sử dụng các lệnh như mô tả trong tài liệu dưới đây. Tài liệu bao gồm các hướng dẫn về cách tạo các lệnh này cũng như các lệnh ví dụ dựa trên thiết kế ví dụ dưới đây.

    Vấn đề này được lên lịch sẽ được khắc phục trong bản phát hành phần mềm Quartus II trong tương lai.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 15 sản phẩm

    FPGA SoC Arria® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Arria® V ST
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Cyclone® V E
    FPGA SoC Cyclone® V SE
    FPGA Stratix® V E
    FPGA SoC Cyclone® V SX
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Stratix® V GT
    FPGA Cyclone® V GX
    FPGA Stratix® V GS
    FPGA Arria® V GZ

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.