ID bài viết: 000078235 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

Tôi cần cập nhật những tệp nào trong bộ điều khiển UniPHY của mình khi bật chia sẻ OCT?

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Khi tạo bộ điều khiển UniPHY với tính năng chia sẻ OCT, bạn phải có một bộ điều khiển UniPHY được chỉ định là bậc thầy OCT và những bộ điều khiển khác được định nghĩa là nô lệ OCT.

    Nếu bạn tạo ra bộ điều khiển QDR II hoặc RLDRAM II UniPHY có bật OCT, bạn phải sửa đổi lệnh gán chân để cho phép bộ điều hợp giải quyết chính xác tên khối kết thúc OCT trong lõi chính OCT.

    Để sửa đổi kịch bản gán chân cho trẻ em QDR II hoặc RLDRAM II OCT, hãy làm theo các bước sau:

    1. Trong trình chỉnh sửa văn bản, mở tập lệnh bài tập chân của lõi của bạn, như sau:

    . Đối với các hệ thống được tạo ra với Trình quản lý Trình cắm MegaWizard:

    Mở tên lõi /_pin_assignments.tcl . (Điều này không chính xác được chỉ định trong Sổ tay Giao diện Bộ nhớ ngoài phiên bản 11.1, tháng 11 năm 2011).

    . Đối với các hệ thống được tạo ra với Qsys hoặc SoPC Builder:

    Mở tệp //_pin_assignments.tcl .

    2. Tìm kiếm các dòng sau:

    . đặt ::master_corename "_MASTER_CORE_"

    3. Thay _MASTER_CORE_ phiên bản của bậc thầy UniPHY để nô lệ được kết nối. Mặc dù biến được đặt tên master_corename, tên phiên bản chính phải được sử dụng. Tên để sử dụng giống với tên phiên bản trong tên lõi _all_pins.txt được tự động tạo ra khi lệnh _pin_assignments.tcl.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 8 sản phẩm

    FPGA Stratix® V GT
    FPGA Stratix® V GX
    FPGA Stratix® V E
    FPGA Stratix® V GS
    FPGA Stratix® III
    FPGA Stratix® IV GT
    FPGA Stratix® IV GX
    FPGA Stratix® IV E

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.