ID bài viết: 000077800 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 06/01/2016

Lỗi: pcie_hard_ip_0_pcie_bfm_0: altera_pcie_bfm_qsys hỗ trợ tạo cho mô phỏng VHDL. Thế hệ có sẵn cho: Mô phỏng Verilog, Tổng hợp Quartus

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Bạn có thể gặp phải lỗi này khi cố gắng tạo trình kiểm tra VHDL cho Trình biên dịch IP Stratix® IV cho PCI Express® trong Qsys.

    Độ phân giải

    Để tránh xảy ra lỗi này, hãy sử dụng Verilog HDL để kiểm tra. Thiết bị kiểm tra VHDL không có sẵn cho các thiết Stratix IV.

    Sự cố này không được lên lịch để được khắc phục.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 2 sản phẩm

    FPGA Stratix® IV GX
    FPGA Stratix® IV GT

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.