ID bài viết: 000076732 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 06/11/2020

Tại sao biến thể VHDL của tôi của Intel® FPGA IP eCPRI không mô phỏng trong Synopsys VCS MX®?

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • CPRI Intel®
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Sự cố quan trọng

    Mô tả

    Do sự cố trong phiên bản phần mềm Intel® Quartus® Prime phiên bản 20.1 trở lên, khi sử dụng biến thể VHDL của eCPRI Intel® FPGA IP trong Synopsys VCS MX*, trình biên dịch của trình mô phỏng sẽ gắn cờ lỗi quy định rằng có lỗi loại không phù hợp cho tham số "ADV_MAP".

    Độ phân giải

    Để giải quyết vấn đề này trong phiên Intel® Quartus® Prime phiên bản 20.1 trở lên, hãy làm theo các bước dưới đây:

     

    1) Xác định vị trí tệp đóng gói cấp cao nhất được tạo của tệp tin eCPRI Intel® FPGA IP đây:

           /sim


     

    2) Thay đổi mỗi chuỗi "BẬT" hoặc "TẮT" được chỉ định cho tham số "ADV_MAP" thành số nguyên 1 hoặc 0 tương ứng, như được hiển thị dưới đây:

     

    Từ

    ADV_MAP: chuỗi:= "BẬT";

     

    Để

    ADV_MAP: số nguyên := 1;

     

    Từ

    ADV_MAP => "TẮT",

     

    Để

    ADV_MAP => 0,

     

    Sự cố này được khắc phục bắt đầu với Intel® Quartus® Prime phiên bản 20.3.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 2 sản phẩm

    FPGA Intel® Stratix® 10 và FPGA SoC
    FPGA Intel® Arria® 10 và FPGA SoC

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.