ID bài viết: 000075949 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 25/06/2013

Lỗi 10528 VHDL ở < tên thành phần>{}: Giá trị 0 nằm ngoài phạm vi giới hạn mục tiêu (1 đến 2147483647)

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Có thể thấy lỗi này trong quá trình tổng hợp các hệ thống Qsys tạo ra VHDL do sự cố trong phần mềm Quartus® II phiên bản 13.0.   Một loại dữ liệu không chính xác "tích cực" được truyền bởi ghi HDL khiến tuyên bố thành phần VHDL không tương thích.

    Độ phân giải

    Để khắc phục vấn đề này trong phần mềm Quartus II phiên bản 13.0:
    - Chọn Verilog để tổng hợp trong GUI Qsys

    Hoặc

    - Chỉnh < tên thành phần>_hw.tcl cho IP đã thực hiện trong trình chỉnh sửa văn bản và thay đổi loại tham số từ "tích cực" sang số nguyên"

    Vấn đề này hiện đang được lên kế hoạch sẽ được khắc phục cho phiên bản tương lai của Phần mềm Quartus II.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    Thiết bị có thể lập trình Intel®

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.