ID bài viết: 000075931 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

Tại sao tôi lại thấy Một Hành vi vi phạm xung tối thiểu trên ALTTEMP_SENSE năng tối thiểu?

Môi Trường

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Bạn có thể thấy lỗi này trong phần mềm Quartus® II nếu bạn sử dụng PLL để dẫn xuất tần số đồng hồ đầu vào đến chức năng ALTTEMP_SENSE lớn của máy.

    Tần số đầu vào được áp dụng cho cảm biến nhiệt độ bên trong phải nhỏ hơn hoặc bằng 1,0MHz. Có sẵn một bộ chia đồng hồ ở ALTTEMP_SENSE năng siêu lớn để giảm đồng hồ đầu vào của bạn để đáp ứng yêu cầu này. Để biết thêm chi tiết, vui lòng tham khảo Hướng dẫn sử dụng Bộ cảm biến nhiệt độ (ALTTEMP_SENSE) Siêu chức năng (PDF).

    Bản chất làm tròn của các tham số PLL nhân và phân chia có thể dẫn đến việc đồng hồ đầu ra thực tế không chính xác. Nếu không sử dụng bộ chia đồng hồ, tần số đồng hồ kết quả có thể cao hơn 1,0MHz bắt buộc. Nếu sử dụng bộ chia đồng hồ, tần số đồng hồ kết quả có thể cao hơn 40,0MHz hoặc 80,0MHz, tùy thuộc vào cài đặt được sử dụng cho dải tần đồng hồ.

    Độ phân giải

    Để tránh cảnh báo này, hãy hiệu chỉnh lại các tham số PLL để làm cho tần số của đồng hồ đầu ra tròn nhỏ hơn hoặc bằng 1,0MHz nếu không sử dụng bộ chia đồng hồ. Nếu sử dụng bộ chia đồng hồ, hãy hiệu chỉnh các tham số PLL để làm cho tần số của đồng hồ đầu ra tròn nhỏ hơn hoặc bằng 40,0MHz hoặc 80,0MHz, tùy thuộc vào cài đặt được sử dụng cho bộ chia đồng hồ.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 11 sản phẩm

    FPGA SoC Arria® V ST
    FPGA Arria® V GT
    FPGA Arria® V GX
    FPGA Stratix® V GT
    FPGA SoC Arria® V SX
    FPGA Stratix® V GS
    FPGA Stratix® V E
    FPGA Stratix® V GX
    FPGA Stratix® IV GT
    FPGA Stratix® IV E
    FPGA Stratix® IV GX

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.