ID bài viết: 000075915 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 11/09/2012

Lỗi (10119): Báo cáo vòng lặp Verilog HDL tại <location>: vòng lặp với điều kiện vòng lặp không liên tục phải chấm dứt trong <number> Lặp</number></location>

Môi Trường

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Mô tả

Lỗi này có thể xuất hiện trong phần mềm Quartus® II khi tổng hợp lặp lại thông qua một vòng lặp trong Verilog HDL để nhiều hơn giới hạn vòng tổng hợp. Giới hạn này ngăn quá trình tổng hợp có khả năng chạy vào một vòng lặp vô hạn. Theo mặc định, giới hạn vòng lặp này được đặt thành 250 lần lặp lại.

Độ phân giải

Để xử lý lỗi này, bạn có thể VERILOG_NON_CONSTANT_LOOP_LIMIT đặt giới hạn vòng lặp bằng tùy chọn trong Tập tin Cài đặt Quartus II (.qsf). Ví dụ:

set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 300

Các sản phẩm liên quan

Bài viết này áp dụng cho 1 sản phẩm

Thiết bị có thể lập trình Intel®

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.