Bộ phân tích thời gian tạo ra lệnh đồng hồ được tạo ra

author-image

Bởi

Bộ phân tích Thời gian coi bộ chia đồng hồ, đồng hồ gợn sóng hoặc các mạch có khả năng sửa đổi hoặc thay đổi các đặc tính của đồng hồ đến hoặc máy chủ như đồng hồ được tạo ra. Bạn nên xác định đầu ra của các mạch này là đồng hồ được tạo ra. Định nghĩa này cho phép Phân tích Thời gian phân tích các đồng hồ này và tính toán bất kỳ độ trễ mạng nào được liên kết với chúng.

Bạn có thể sử dụng lệnh create_generated_clock tạo đồng hồ đã tạo. Danh sách sau hiển thị lệnh create_generated_clock hành và các tùy chọn có sẵn:

create_generated_clock
   [-tên <clock name>]
   -source <thernet pin>
   [-edges <edge list>]
   [-edge_shift <shift list>]
   [-divide_by <factor>]
   [-multiply_by <factor>]
   [-duty_cycle <percent>]
   [-add]
   [-invert] [-host_clock <clock>]
   [-phase <phase>]
   [-offset <offset>]
   <cô>

Bảng 1 mô tả các tùy chọn cho create_generated_clock lệnh.

Độ trễ nguồn dựa trên độ trễ mạng đồng hồ từ đồng hồ máy chủ (không nhất thiết phải chân máy chủ). Bạn có thể sử dụng set_clock_latency -source command để ghi đè lên độ trễ nguồn.

Hình 1 hiển thị dạng sóng cho các lệnh SDC sau đây tạo ra một đồng hồ được tạo ngược dựa trên đồng hồ 10 ns.

create_clock -period 10 [get_ports clk]
create_generated_clock -divide_by 1 -invert -source [get_registers clk] \
    [get_registers gen|clkreg]

Hình 1. Tạo ra một đồng hồ ngược.

Hình 2 hiển thị dạng sóng cho các lệnh SDC sau đây sửa đổi đồng hồ được tạo bằng cách sử dụng các tùy chọn -edge và -edge_shift.

create_clock -period 10 -waveform { 0 5 } [get_ports clk] # Tạo một đồng hồ create_generated_clock -source [get_ports clk] -edges { 1 3 5 } \ [get_registers clkdivA|clkreg] # Tạo ra một đồng hồ chia-by-2 độc lập với chu kỳ công suất của đồng hồ chủ giờ đây
là
50%)
nguồn create_generated_clock [get_ports clk] -edges { 1 1 5 } -edge_shift  0 5 0 } \
    [get_registers clkdivB|clkreg]

Hình 2. Edges & edge thay đổi một đồng hồ được tạo ra.

Hình 3 cho thấy wafeforms cho các lệnh SDC sau đây chứng minh hiệu quả của tùy chọn -multiply trên đồng hồ được tạo ra.

create_clock -period 10 -waveform { 0 5 } [get_ports clk] # Tạo ra một đồng hồ
create_generated_clock nhân-2
[get_ports clk] -multiply_by 2 \
    [get_registers clkmult|clkreg]

Hình 3. Nhân một đồng hồ được tạo ra.

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.