Lệnh Thu thập Bộ phân tích Thời gian

author-image

Bởi

Timing Analyzer hỗ trợ giao diện chương trình ứng dụng thu thập (API) giúp dễ dàng truy cập vào các cổng, chân, ô hoặc nút trong thiết kế. Bạn có thể sử dụng API bộ sưu tập với bất kỳ hạn chế hoặc lệnh ngôn ngữ lệnh công cụ (Tcl) hợp lệ nào được chỉ định trong Bộ phân tích Thời gian.

Bảng 1 mô tả các lệnh thu thập được Bộ phân tích Thời gian hỗ trợ.

Để biết thêm thông tin về bộ sưu tập, hãy tham khảo chương Phân tích Thời gian hoặc Tài liệu tham khảo API SDC & Timing Analyzer (PDF).

Ví dụ sau cho thấy các ứng dụng khác nhau của create_clock lệnh create_generated_clock lệnh bộ sưu tập.

# Tạo một ns 10 đơn giản với đồng hồ với chu kỳ nhiệm vụ
create_clock -period 10 -waveform {0 6} -name clk [get_ports clk] # Đa chu kỳ sau đây áp dụng cho tất cả các đường dẫn kết thúc ở thanh ghi # được tính bằng
clk
set_multicycle_path -đến [get_clocks clk] 2

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.