ID bài viết: 000090520 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 13/03/2023

Tại sao việc biên dịch bị lỗi trong Giai đoạn Tạo Logic với F-Tile SDI II Intel® FPGA IP ở chế độ TX và RX simplex và khi được đặt trong cùng một kênh với thiết bị Intel Agilex® 7?

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • Giao diện
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Do sự cố trong Phần mềm phiên bản Intel® Quartus® Prime Pro phiên bản 22.1, F-Tile SDI II Intel® FPGA IP sẽ bị lỗi trong quá trình biên dịch với việc kết hợp cả chế độTX và RX simplex trong cùng một kênh, các thông báo lỗi sau sẽ xuất hiện trong giai đoạn Tạo Logic:

    • Lỗi (21842): Logic hỗ trợ không thể được tạo ra vì các thành phần IP được sử dụng trong thiết kế có các cài đặt xung đột
    • Lỗi: Thiết kế không thể được lập trình vào các Ô F có sẵn vì các hạn chế về vị trí đang xung đột hoặc do thiết kế yêu cầu nhiều tài nguyên hơn so với những gì có sẵn trên thiết bị hiện tại
    • Lỗi: Quartus Prime Logic Generation Tool không thành công. 22 lỗi, 0 cảnh báo
    • Lỗi (21794): Quartus Prime Biên dịch đầy đủ không thành công. 24 lỗi, 2 cảnh báo

    Vấn đề này là do Intel® Quartus® Prime Phiên bản Pro Không thể hợp nhất cấu hình SDI II TX duy nhất với nhiều hồ sơ của SDI II RX khi ở chế độ đa tốc độ.

    Độ phân giải

    Để giải quyết vấn đề này khi sử dụng TX và RX ở chế độ simplex, các làn TX và RX phải được phân chia thành hai kênh khác nhau để truyền biên dịch ở giai đoạn Thế hệ Logic.

    Vấn đề này được lên lịch sẽ được khắc phục trong bản phát hành trong tương lai của Phiên bản Phần mềm Intel® Quartus® Prime Phiên bản Pro.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    Intel® Agilex™ FPGA và SoC FPGA

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.