ID bài viết: 000086848 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 26/09/2019

Tại sao Intel® Quartus® Prime Timing Analyzer bỏ qua các hạn chế về thời gian cho IP cứng Intel® Arria® 10/Cyclone® 10 cho PCI Express*?

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Do có vấn đề trong phiên bản phần mềm Intel® Quartus® Prime Pro phiên bản 19.2 trở lên, Trình phân tích Thời gian Thủ công Intel® Quartus® sẽ bỏ qua các hạn chế về thời gian cho IP cứng Intel® Arria® 10/Cyclone® 10 cho PCI Express* nếu bạn có một tuyên bố tạo được sử dụng trong VHDL hoặc mã Verilog của mình để tạo IP trong thiết kế của mình. Sự cố này xảy ra vì tuyên bố tạo ra sẽ tạo ra một "\" là đường dẫn gián đoạn không được chấp nhận bởi IP cứng Intel Arria 10/Cyclone 10 cho tệp PCI Express* SDC (Synopsys* Design Constraint).

    Độ phân giải

    Để giải quyết vấn đề này, tải xuống IP cứng Intel® Arria® 10/Cyclone® 10 cho tệp PCI Express* SDC và thay thế altera_pci_express.sdc trong //altera_pcie_a10_hip/synth.
    Sự cố này đã được khắc phục bắt đầu với phiên Intel® Quartus® mềm Prime Phiên bản Pro phiên bản 19.3.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 2 sản phẩm

    FPGA Intel® Cyclone® 10
    FPGA Intel® Arria® 10 và FPGA SoC

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.