ID bài viết: 000086752 Loại nội dung: Thông tin sản phẩm & Tài liệu Lần duyệt cuối: 28/12/2017

Làm cách nào bộ tạo lưu lượng thiết® kế mẫu EMIF 10 của Intel Arria được sửa đổi cho một mẫu dữ liệu cố định?

Môi Trường

  • Phần mềm thiết kế Intel® Quartus® Prime
  • Giao diện bộ nhớ ngoài IP FPGA Intel® Arria® 10
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Khi tạo một Intel® Arria® thiết kế mẫu EMIF 10, bộ tạo lưu lượng được triển khai với mô hình lưu lượng PRBS được xác định trước. Để gỡ lỗi thêm, việc sử dụng mẫu dữ liệu cố định có thể hữu ích.

    Độ phân giải

    Mẫu dữ liệu PRBS mặc định được triển khai bằng cách sử dụng LFSR (Thanh ghi dịch chuyển phản hồi tuyến tính), nằm trong tệp altera_emif_avl_tg_lfsr_wrapper.sv. Bình luận về mã gốc và thay đổi nó theo kiểu dữ liệu bạn yêu cầu (xem ví dụ dưới đây).

    Tạo ra
    genvar i;
    cho (i = 0; i < NUM_LFSR; i)
    bắt đầu: lfsr_gen
    altera_emif_avl_tg_lfsr # (
    // . CHIỀU RỘNG (LFSR_WIDTH),
    // . SEED (SEED * (3 1) i)
    ) lfsr_inst (
    .clk (clk),
    .reset_n (reset_n),
    .enable (bật),
    .data (lfsr_data[((i 1)*LFSR_WIDTH-1):(i*LFSR_WIDTH)])
    // );
    Cuối
    endgenerate

    always_ff @(posedge clk hoặc negedge reset_n)
    Bắt đầu
    nếu (!reset_n) bắt đầu
    lfsr_data <= 256'H0000000FFFFFFFF0000000FFFFFFFF00000000FFFFFFFF0000000FFFFFFFF;
    đầu khác nếu (bật) bắt đầu
    lfsr_data <= ~lfsr_data;
    Cuối
    Cuối

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Intel® Arria® 10 và FPGA SoC

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.