ID bài viết: 000085704 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

Tại sao logic điều khiển cho các bộ đếm được tạo ra không chính xác trong các phiên bản phần mềm Quartus® II 4.1 và 4.1 SP1?

Môi Trường

  • Đặt lại
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Logic điều khiển được tạo không chính xác do sự cố trong các phiên bản phần mềm Quartus II 4.1 và 4.1 SP1 nếu đáp ứng các điều kiện sau:

    • Bạn đã tạo ra một lpm_counter năng tuyệt đối hoặc siêu chức năng lpm_counter được suy ra từ mã HDL của bạn trong quá trình tổng hợp
    • Bộ đếm trong thiết kế của bạn sử dụng cả cài đặt trước không đồng bộ và tín hiệu rõ ràng đồng bộ
    • Bạn biên dịch các thiết bị nhắm mục tiêu Stratix®, Stratix GX, Cyclone®, MAX II hoặc Hardcopy Stratix thiết bị®

    Hành vi không chính xác là do vấn đề với việc triển khai hộp rõ ràng của lpm_counter năng suất cao. Để tránh sự cố nếu bạn có bộ đếm trong thiết kế của mình sử dụng cả cài đặt trước không đồng bộ và tín hiệu rõ ràng đồng bộ, hãy sao chép tệp lpm_counter.tdf từ thư mục cài đặt Srtus II>\libraries\megafunctions vào thư mục dự án của bạn.

    Sự cố này đã được khắc phục bắt đầu với phần mềm Quartus II phiên bản 4.2.

    Dưới đây là một ví dụ về mã được triển khai không chính xác trong các phiên bản phần mềm Quartus II 4.1 và 4.1 SP1. Trong ví dụ này, tín hiệu clr sẽ được xóa trong lần triển khai cuối cùng.

    process (clk, clr, preset) is
    
    begin
    
      if (preset = '0') then
    
       counter  '1');
    
      elsif rising_edge(clk) then
    
       if (clr = '1') then
    
         counter  '0');
    
       else
    
       counter 
    
    

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Stratix®

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.