ID bài viết: 000084362 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 01/01/2015

Tại sao Máy phát PLL và/hoặc CDR không khóa sau khi được khởi động trong bộ thu phát Stratix IV và Arria II GX.

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Altera đã xác định vấn đề này trong các thiết kế được biên dịch bằng phần mềm Quartus® II phiên bản 9.0 SP2 hoặc các phiên bản cũ hơn.

    Các thiết bị bị ảnh hưởng- Stratix® IV GX, Stratix® IV GT, Arria® II GX

    Giải pháp - Đối với tất cả các thiết kế, trừ hai trường hợp được liệt kê bên dưới, tải xuống bản vá sau trên phần mềm Quartus® II phiên bản 9.0 SP2 và biên dịch lại thiết kế.

    Windows-

    Phần mềm Quartus II phiên bản 9.0 SP2 Bản vá Windows 2.53

    Linux-

    Phần mềm Quartus II phiên bản 9.0 SP2 Linux Patch 2.53

    Trường hợp ngoại lệ 1: Tất cả các kênh thu phát khởi động ở hai bên của thiết bị được định cấu hình là "Chỉ Bộ phát" VÀ không được kết nối với bộ điều khiển định cấu hình lại động (altgx_reconfig Megafunction)

    Trường hợp ngoại lệ 2: Không có kênh thu phát nào được tức thì ở phía bên trái của thiết bị, nhưng một hoặc nhiều chân refclk chuyên dụng từ phía bên trái được sử dụng làm FPGA chân đầu vào đồng hồ. Trường hợp tương tự cũng áp dụng cho phía bên phải của thiết bị.

    Giải pháp cho trường hợp ngoại lệ 1 và trường hợp 2: Tạo tức thì một kênh máy thu giả ở mỗi bên của thiết bị đáp ứng các điều kiện được liệt kê trong Trường hợp ngoại lệ 1 hoặc Trường hợp 2. Tải xuống bản vá theo các liên kết được liệt kê ở trên. Cài đặt bản vá trên phần mềm Quartus II phiên bản 9.0 SP2 và biên dịch lại thiết kế của bạn.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 4 sản phẩm

    FPGA Stratix® IV GT
    FPGA Stratix® IV GX
    FPGA Arria® II GX
    FPGA Arria® II

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.