ID bài viết: 000083219 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 30/06/2014

Mô phỏng VHDL BFM giao diện Avalon-ST thất bại trong Riviera-PRO

Môi Trường

    Phiên bản đăng ký Intel®Intel® Quartus® II
    Mô phỏng
BUILT IN - ARTICLE INTRO SECOND COMPONENT

Sự cố quan trọng

Mô tả

Khi mô phỏng các thiết kế trong Aldec® Riviera-PRO Nâng™ cao Nền tảng xác minh, Avalon® bus giao diện Truyền Avalon-ST) mô hình chức năng (BFM) không thành công với các lỗi sau:

  • Error: "# sim_run" not found in "log.txt". Simulation did not run.
  • Error: Found 3 error(s) in "log.txt":
Error: 1211 | # ACOM: Error: ELAB1_0021: /build/arc/execute/dir_21768/_0/regtest/ip/merlin/altera_merlin_apb_slave_agent/sim_script/vhdl/riviera/top_tb/submodules/altera_avalon_st_sink_bfm_vhdl.vhd : (113, 0): Types do not match for port "data_in0". Error: 1212 | # ACOM: Error: ELAB1_0021: /build/arc/execute/dir_21768/_0/regtest/ip/merlin/altera_merlin_apb_slave_agent/sim_script/vhdl/riviera/top_tb/submodules/altera_avalon_st_sink_bfm_vhdl.vhd : (113, 0): Types do not match for port "data_out0". Error: 1214 | # SCRIPTER: Error: /build/arc/execute/dir_21768/_0/regtest/ip/merlin/altera_merlin_apb_slave_agent/sim_script/vhdl/riviera/aldec/rivierapro_setup.tcl : (222, 1): Script execution terminated due to error(s).
Độ phân giải

Sự cố này được khắc phục trong Riviera-PRO phiên bản 2013.06 và 13.1 Bản phát hành phần mềm Quartus® II.

Để giải quyết vấn đề này trong bản phát hành phần mềm Quartus II 13.0, bạn phải chỉnh sửa mã HDL của mình như sau (các sửa đổi trong phần in in):

entity altera_avalon_interrupt_sink_vhdl is end altera_avalon_interrupt_sink_vhdl; architecture irq_sink_bfm_vhdl_a of altera_avalon_interrupt_sink_vhdl is -- component altera_avalon_interrupt_sink_vhdl_wrapper -- port ( data_out0 : out integer ); -- end component;

component altera_avalon_interrupt_sink_vhdl_wrapper port ( data_out0 : out std_logic_vector(0 to 31 ) ); end component; signal data_out0 : integer; function aldec_slv2int (val:std_logic_vector) return integer is begin return to_integer(unsigned(val)); end aldec_slv2int;

begin irq_sink_vhdl_wrapper : altera_avalon_interrupt_sink_vhdl_wrapper port map ( aldec_slv2int(data_out0) => data_out0 ); end irq_sink_bfm_vhdl_a;

Các sản phẩm liên quan

Bài viết này áp dụng cho 1 sản phẩm

Thiết bị có thể lập trình Intel®

1

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.