ID bài viết: 000081994 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 02/02/2015

Tại sao ổ đĩa chân hai chiều của tôi ra ngoài '1' thay vì 'Z' khi cổng dữ liệu được kết nối với số không liên tục trong thiết kế của tôi?

Môi Trường

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Mô tả Do sự cố trong phiên bản phần mềm Quartus® II 14.1 trở lên, bạn có thể thấy các vấn đề chức năng nếu bạn kết nối cổng dữ liệu của chân hai chiều với số không liên tục trong thiết kế của bạn khi các cổng OE và IN cho bộ đệm hai chiều có thể bị chuyển đổi.

 

Độ phân giải

Sử dụng thuộc tính "keep" để bảo toàn dây liên tục như được hiển thị trong mã dưới đây:

Dành cho VHDL:

tín hiệu const_zero_sig: std_logic;

thuộc tính giữ: tiếng ngòi;
lưu giữ thuộc tính của const_zero_sig: tín hiệu là đúng;

Bắt đầu

const_zero_sig

TRI_PIN

Đối với Verilog:

hệ thống const_zero_sig dây /* tổng hợp giữ */;

gán const_zero_sig = 1\'b0;
gán TRI_PIN = bật? const_zero_sig: 1\'bz;


Vấn đề này được lên lịch sẽ được giải quyết trong bản phát hành phần mềm Quartus II trong tương lai.

 

Các sản phẩm liên quan

Bài viết này áp dụng cho 1 sản phẩm

Thiết bị có thể lập trình Intel®

Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.