ID bài viết: 000079342 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 16/11/2011

Quartus II Fitter báo cáo lỗi khi sử dụng đồng hồ tạo PLL là 67,5 MHz trong các Stratix GX

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Sự cố quan trọng

    Mô tả

    Quartus II Fitter báo cáo lỗi khi bạn sử dụng PLL-generated đầu vào đồng hồ của tần số 67,5 MHz trong mục tiêu SDI-SD MegaCore Stratix thiết bị GX.

    Độ phân giải

    Đặt đồng hồ đầu vào thành tần số 29,7 MHz để PLL tạo ra tần số của đồng hồ đầu ra lên 74,25 MHz.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Stratix® GX

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.