ID bài viết: 000078329 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

Tại sao Encounter Conformal báo cáo sai trong quá trình xác minh chính thức các thiết kế bao gồm lpm_counter năng khổng lồ?

Môi Trường

  • Xác nhận
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Không phù hợp xác minh chính thức xảy ra cho các thiết kế bao gồm siêu chức năng lpm_counter khi sử dụng hai hoặc nhiều tín hiệu điều khiển không đồng bộ của siêu chức năng lpm_counter (aset, aclr, aload)

    Để loại bỏ những sự nhầm lẫn này, áp dụng thuộc tính hộp màu đen vào gói của lpm_counter năng suất lớn trong phần mềm Quartus® II trước khi biên dịch thiết kế.

    Để biết thêm thông tin về việc xác thực chính thức bằng cách sử dụng Tuân thủ, hãy tham khảo chương Hỗ trợ tuân thủ Cadence Encounter (PDF) trong tập 3 của Sổ tay Quartus II.

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.