ID bài viết: 000077631 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 11/09/2012

Có phải tất cả các giá trị tần số đồng hồ đầu vào được hiển thị trong Trình quản lý trình cắm ALTGX Megawizard cho các thiết bị Stratix IV GX được hỗ trợ nếu kênh thu phát được định cấu hình ở tốc độ dữ liệu trên 6,5 Gbps?

Môi Trường

  • Phiên bản đăng ký Intel®Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Trong phiên bản phần mềm Quartus® II 8.1 trở lên, chỉ dành cho thiết bị Stratix® IV GX, Trình cắm ALTGX MegaWizard® hiển thị nhiều giá trị tần số đồng hồ đầu vào có thể chọn lọc, nếu CMU PLL hoặc RX CDR được định cấu hình cho tốc độ dữ liệu trên 6,5 Gbps.  Tuy nhiên, chỉ một giá trị là hợp lệ.

    Bạn phải sử dụng biểu đồ sau để xác định giá trị tần số đồng hồ đầu vào được hỗ trợ:

    Giá trị tần số xung nhịp đầu vào = (tốc độ dữ liệu CMU PLL hoặc RX CDR)/20

    Trong trường hợp "CMU PLL hoặc tốc độ dữ liệu RX CDR" được định nghĩa là giá trị trong tỷ lệ dữ liệu cơ bản là trường trên màn hình chung của Trình quản lý trình cắm ALTGX MegaWizard®.

    Ví dụ: nếu bạn định cấu hình kênh trong thiết bị Stratix IV GX để chạy ở tốc độ dữ liệu 8,5 Gbps, giá trị tần số đồng hồ tham chiếu đầu vào được cho phép có thể được xác định như sau:

    Tốc độ dữ liệu cơ bản bằng 8,5 Gbps.

    Do đó, giá trị tần số xung xung tham chiếu đầu vào được hỗ trợ = (8,5 Gbps/20) = 425MHz .

    Vì vậy, trong ví dụ này, Trình cắm ALTGX MegaWizard hiển thị tần số đồng hồ đầu vào sau - 170 MHz, 212,5 MHz, 265,625 MHz, 340 MHz, 425 MHz và 531,25 MHz nhưng chỉ 425 MHz là một lựa chọn hợp lệ.

     

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 2 sản phẩm

    FPGA Stratix® IV
    FPGA Stratix® IV GX

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.