ID bài viết: 000077346 Loại nội dung: Thông báo lỗi Lần duyệt cuối: 30/06/2020

Lỗi(17086): Lỗi Verilog HDL alt_xcvr_native_rcfg_strm_params_qhuzj7i.sv

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Do lỗi trong phần mềm Quartus® Prime phiên bản 20.2 trở lên, bạn có thể thấy một biến thể của lỗi tổng hợp sau nếu bạn đã bật Cấu hình lại trong IP PHY riêng của thiết bị Arria® 10 hoặc IP ATX PLL.

    Đây là một ví dụ về lỗi tổng hợp IP PHY riêng

    Lỗi(17086): Lỗi Verilog HDL alt_xcvr_native_rcfg_strm_params_mcrso7a.sv(746): biểu thức có 735 phần tử; dự kiến 736

     

    Đây là một ví dụ về lỗi tổng hợp IP ATX PLL

    Lỗi(17086): Lỗi Verilog HDL ở alt_xcvr_native_rcfg_strm_params_oks6upi.sv(126): biểu thức có 115 phần tử; dự kiến 116

     

    Độ rộng của các phần tử biểu thức sẽ thay đổi tùy thuộc vào IP và số lượng cấu hình được kích hoạt trong IP PHY riêng và IP ATX PLL của bạn.

    Sự cố này xảy ra khi có số lượng cấu hình lại lẻ trong IP PHY riêng hoặc IP ATX PLL. Ví dụ 1, 3, 5, 7.

    Độ phân giải

    Để giải quyết vấn đề này, bạn có thể sao chép hồ sơ Định cấu hình lại hiện có và tăng số lượng cấu hình lên một để tổng số trong IP PHY riêng hoặc IP ATX PLL của bạn thậm chí còn hơn thế. Ví dụ 2, 4, 6, hoặc 8.

    Sự cố này đã được khắc phục Intel Quartus Prime phiên bản 20.3.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Intel® Arria® 10 và FPGA SoC

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.