ID bài viết: 000076734 Loại nội dung: Thông tin sản phẩm & Tài liệu Lần duyệt cuối: 07/10/2020

Làm cách nào để giải quyết các cảnh báo đóng thời gian khi biên dịch một thiết kế với trình điều khiển JESD204C Intel® FPGA IP chế độ chỉ cơ sở?

Môi Trường

  • Intel® Quartus® Prime Phiên bản Pro
  • IP FPGA Intel® JESD204B
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Bạn có thể thấy một số hoặc tất cả các thông báo cảnh báo dưới đây khi biên dịch một thiết kế với JESD204C Intel® FPGA IP ở chế độ chỉ cơ sở trong phiên bản phần mềm Intel® Quartus® Prime Phiên bản Pro phiên bản 20.1 hoặc 20.2.

     

    ID tin nhắn

    Văn bản tin nhắn

    17897

    Không tìm thấy khoảng thời gian đồng hồ đích đáp ứng việc chuyển nhượng set_net_delay từ "[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|delayed_wrptr_g*}]" đến "[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_ dcfifo132b_m20k|dcfifo_component|auto_generated|rs_dgwp|dffpipe*|dffe*}]". Tác vụ này sẽ bị bỏ qua.

    332182

    Không tìm thấy đường dẫn nào đáp ứng yêu cầu chuyển nhượng "set_max_skew -từ [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|*rdptr_g*}] -đến [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component| auto_generated|ws_dgrp|dffpipe*|dffe*}] -get_skew_value_from_clock_period src_clock_period -skew_value_multiplier 0,800 ". Tác vụ này sẽ bị bỏ qua.

    332174

    Bộ lọc bị bỏ qua intel_jesd204c.sdc(81): Không thể kết hợp nhóm với đồng hồ

    332049

    Bị bỏ create_clock tại intel_jesd204c.sdc(64): Tham số là một tập hợp trống

    332054

    Chuyển nhượng set_clock_groups được chấp nhận nhưng có một số vấn đề ở intel_jesd204c.sdc(81): Tham số -group với nhóm giá trị {j204c_txphy_clk[0]} -group {j204c_txphy_clk[1]} -group {j204c_txphy_clk[2]} -group {{j204c_txphy_clk[3]} -group {j204c_txphy_clk[4]} -group {j204c_txphy_clk[5]} -group {j204c_txphy_clk[6]} -group {j204c_txphy_clk[7]} không thể phù hợp với bất kỳ yếu tố nào của các loại hình sau: ( clk)

    332060

    Nút: j204c_txphy_clk được xác định là một chiếc đồng hồ nhưng được tìm thấy mà không có tác vụ đồng hồ liên quan.

    Độ phân giải

    Để giải quyết vấn đề này trong phiên bản phần mềm Intel® Quartus® Prime Phiên bản Pro 20.1 hoặc 20.2 thay thế một số dòng nhất định trong tệp intel_jesd204c.sdc như được hiển thị bên dưới.

     

    chỉ simplex rx base(... /intel_jesd204c_rx_191/synth/intel_jesd204c.sdc):

    thay đổi từ:

    77 tập hợp overall_clock ""

    78 cho { đặt j 0} { $j < 4} { incr j} {

    79 phụ overall_clock "-group {j204c_rxphy_clk[$j]} "

    80                           }

    81 set_clock_groups -không đồng bộ -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} $overall_clock

    Để

    77 tập hợp overall_clock ""

    78 tập hợp clock_grp ""

    79 cho { đặt j 0} { $j < 4} { incr j} {

    80 phụ overall_clock "-group {j204c_rxphy_clk[$j]} "

    81                           }

    82 set clock_grp_tmp {set_clock_groups -không đồng bộ -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

    83 phụ clock_grp $clock_grp_tmp $overall_clock

    84 eval $clock_grp

     

    chỉ simplex tx base(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    thay đổi từ:

    63 cho {set i 0} { $i < 4} {incr i} {

    64 eval {create_clock -name "j204c_txphy_clk[$i]" -period 3.945ns [get_ports j204c_txphy_clk[$i]]}

    65           }

    .

    .

    .

    78 cho { đặt j 0 } { $j < 4} { incr j} {

    79 phụ overall_clock "-group {j204c_txphy_clk[$j]} "

    80                           }

    81 eval {set_clock_groups -không đồng bộ -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} $overall_clock}

    Để

    64 eval {create_clock -name "j204c_txphy_clk" -period 3.945ns [get_ports j204c_txphy_clk]}

    .

    .

    .

    79 tập hợp clock_grp ""

    80 phụ overall_clock {-group {j204c_txphy_clk} }

    81 set clock_grp_tmp {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

    82 phụ clock_grp $clock_grp_tmp $overall_clock

    83 eval $clock_grp

     

    chỉ cơ sở song công(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):

    thay đổi từ:

            64 create_clock -name "j204c_rxphy_clk[$i]" -period 3,945ns [get_ports j204c_rxphy_clk[$i]]

    65 create_clock -name "j204c_txphy_clk[$i]" -period 3,945ns [get_ports j204c_txphy_clk[$i]]

    66                           }

    .

    .

    .

    86 tập hợp overall_clock ""

    87 cho { đặt j 0 } { $j < 4} { incr j} {

    88 phụ overall_clock "-group {j204c_rxphy_clk[$j]} -group {j204c_txphy_clk[$j]} "

    89                           }

    90 set_clock_groups -không đồng bộ -group {j204c_tx_avs_clk j204c_rx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk j204c_rxlink_clk j204c_rxframe_clk } $overall_clock

    Để

            64 create_clock -name "j204c_rxphy_clk[$i]" -period 3,945ns [get_ports j204c_rxphy_clk[$i]]

    65                           }

    66 eval create_clock -name "j204c_txphy_clk" -period 3.945ns [get_ports j204c_txphy_clk]

    .

    .

    .

    87 tập hợp overall_clock ""

    88 tập hợp clock_grp ""

    89 phụ overall_clock {-group {j204c_txphy_clk} }

    90 set clock_grp_tmp {set_clock_groups -không đồng bộ -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }

    91 cho { đặt j 0} { $j < 4} { incr j} {

    92 phụ overall_clock "-group {j204c_rxphy_clk[$j]} "

    93                               }

    94 set clock_grp_tmp {set_clock_groups -không đồng bộ -group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }

    95 phụ lục clock_grp $clock_grp_tmp $overall_clock

    96 eval $clock_grp

     

    lưu ý: tất cả các giá trị thời gian của đồng hồ phụ thuộc vào giá trị người dùng đã chọn.

     

     

    Sự cố này được khắc phục bắt đầu Intel Quartus mềm Prime Phiên bản Pro phiên bản 20.3.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 3 sản phẩm

    Intel® Agilex™ Chuỗi F FPGAs và SoC FPGAs
    FPGA Intel® Stratix® 10 MX
    FPGA Intel® Stratix® 10 TX

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.