ID bài viết: 000075078 Loại nội dung: Xử lý sự cố Lần duyệt cuối: 13/11/2015

Có vấn đề nào đã biết với Intel® MAX® 10 FPGA IP On Chip Flash cho các hoạt động đọc UFM không?

Môi Trường

  • Phần mềm Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Mô tả

    Do một vấn đề đã biết trong Phần mềm Intel® Quartus® Prime, lỗi đọc dữ liệu có thể xảy ra khi sử dụng IP On Chip Flash để đọc Bộ nhớ Flash người dùng (UFM) trong Intel® MAX® 10.

    Độ phân giải

    Để giải quyết vấn đề này, hãy sửa đổi tệp altera_onchip_flash_avmm_data_controller.v như minh họa dưới đây. Có thể tìm thấy tệp này trong thư mục cài đặt \ip\altera\altera_onchip_flash\altera_onchip_flash\altera_onchip_flash_avmm_data_controller.v

    Gốc:

         chỉ định flash_read_addr = (is_read_busy) ? flash_seq_read_ardin: avmm_addr;

    Lần:

         chuyển flash_read_addr = avmm_addr; (is_read_busy) ? flash_seq_read_ardin: avmm_addr;

    Vấn đề này sẽ được khắc phục trong phiên bản phần mềm Intel® Quartus® Prime trong tương lai.

    Các sản phẩm liên quan

    Bài viết này áp dụng cho 1 sản phẩm

    FPGA Intel® MAX® 10

    Nội dung gốc bằng tiếng Anh trên trang này vừa do con người vừa do máy dịch. Nội dung này chỉ để cung cấp thông tin chung và giúp quý vị thuận tiện. Quý vị không nên tin đây là thông tin hoàn chỉnh hoặc chính xác. Nếu có bất kỳ mâu thuẫn nào giữa bản tiếng Anh và bản dịch của trang này, thì bản tiếng Anh sẽ chi phối và kiểm soát. Xem phiên bản tiếng Anh của trang này.