Trung tâm dữ liệu hiện đại với hành lang uốn lượn

So sánh FPGA, ASIC có cấu trúc và ASIC dựa trên phần tử

Mỗi loại giải pháp logic tùy chỉnh cung cấp những lợi thế riêng tùy thuộc vào sự kết hợp của tính linh hoạt, công suất tiêu thụ, hiệu năng, thời gian đưa ra thị trường và tổng chi phí của các yêu cầu sở hữu.

Các loại Phần cứng Logic Tùy chỉnh:

  • FPGA có thể lập trình lại, cung cấp sự cân bằng tốt giữa tính linh hoạt, hiệu năng và điện năng; chúng thường có chi phí phát triển thấp nhất và thời gian đưa ra thị trường nhanh nhất và nhìn chung có thể thích ứng nhanh chóng với sự thay đổi của thị trường và yêu cầu của khách hàng.

  • ASIC có cấu trúc cung cấp sức mạnh lõi thấp hơn tới 50% với chi phí đơn vị nói chung thấp hơn so với FPGA và thời gian đưa ra thị trường nhanh hơn và NRE thấp hơn so với ASIC dựa trên phần tử.

  • ASIC dựa trên phần tử thường có chi phí trên mỗi đơn vị thấp nhất, tiêu thụ điện năng thấp nhất và hiệu suất nhanh nhất nhưng thường đi kèm với chi phí NRE trả trước cao hơn đáng kể và chu kỳ thiết kế dài hơn, sử dụng nhiều tài nguyên hơn so với ASIC có cấu trúc.

author-image

Bởi

Tăng tốc phần cứng, đặc biệt ở dạng logic tùy chỉnh, đang giúp các mạng không dây, nhà cung cấp dịch vụ đám mây và các doanh nghiệp khác đáp ứng nhu cầu ngày càng tăng nhanh chóng về hiệu năng, điện năng thấp hơn và tổng chi phí sở hữu thấp hơn. Ví dụ, trong các dịch vụ không dây băng thông cao, 5G yêu cầu tốc độ xung nhịp cao hơn trong một nguồn điện năng giới hạn.

Trong giai đoạn đầu, các sản phẩm có thể lập trình cho thiết bị không dây mang lại lợi thế về thiết kế so với phần cứng cố định để tăng tốc một số chức năng nhất định, đặc biệt là khi mạng tiếp tục phát triển. Trong các trung tâm dữ liệu đám mây, logic tùy chỉnh có thể tăng tốc các thuật toán liên quan đến lưu trữ, bảo mật và các chức năng khác. Biên và các ứng dụng nhúng có thể được hưởng lợi từ khả năng tăng tốc cho suy luận AI. Tăng tốc cũng có thể hỗ trợ việc chuyển đổi sang các tiêu chuẩn video độ nét cao 8K mới nhất trong điều kiện ngân sách nhiệt đầy thách thức.

Khi nói đến thiết kế phần cứng, các kiến ​​trúc sư hệ thống có một số loại giải pháp logic tùy chỉnh để lựa chọn. FPGA, ASIC có cấu trúc và ASIC đều là một phần của chuỗi logic tùy chỉnh. Để cân bằng giữa tính linh hoạt, hiệu năng, điện năng và tổng chi phí của nhu cầu sở hữu, cũng như thời gian đáp ứng các yêu cầu đưa ra thị trường, các kiến ​​trúc sư sẽ cần chọn loại phần cứng phù hợp nhất với trường hợp của họ.

FPGA

Mạch tích hợp cỡ lớn dùng cấu trúc mảng phần tử logic mà người dùng có thể lập trình được (FPGA) là các mạch tích hợp với kết cấu phần cứng có thể lập trình được. Mạch bên trong FPGA được thiết kế để thực hiện nhiều chức năng khác nhau và có thể được lập trình lại để thực hiện các chức năng này khi cần thiết. Do đó, FPGA thường là một lựa chọn tuyệt vời về tính linh hoạt và thời gian đưa ra thị trường nhanh chóng.

FPGA được khách hàng lập trình và đúc sẵn trong phòng thí nghiệm hoặc ngoài thực địa. Chúng không yêu cầu chi phí kỹ thuật không định kỳ (NRE) và có thể giúp các nhà đổi mới tiếp cận thị trường cực kỳ nhanh chóng. Điều này làm cho FPGA trở thành một lựa chọn tuyệt vời để tạo sự khác biệt trong một môi trường đang thay đổi nhanh chóng.

ASIC

Khi các tính năng mới hoàn thiện, việc làm cứng các thiết kế mạch tích hợp có thể tiết kiệm và hiệu quả nguồn điện hơn. Các mạch tích hợp chuyên dụng (ASIC) được xây dựng có mục đích và được sản xuất hàng loạt cho một chức năng cụ thể. Không giống như FPGA, chúng không thể được lập trình lại và chúng yêu cầu đầu tư NRE đáng kể.

Với ASIC dựa trên phần tử tiêu chuẩn, mọi lớp của mạch tích hợp phải được tùy chỉnh. Điều này đòi hỏi các nhóm thiết kế chuyên biệt và các công cụ phần mềm thiết kế cho các chức năng dự kiến, cũng như đầu tư đáng kể vào thiết kế để phát triển kiến ​​trúc thử nghiệm (DFT) để đảm bảo thiết kế có thể sản xuất được và có chất lượng tốt.

ASIC có cấu trúc

ASIC có cấu trúc là một bước tăng dần giữa FPGA và ASIC dựa trên phần tử. ASIC có cấu trúc bắt đầu với một mảng cơ sở chung với logic, bộ nhớ, I/O, bộ thu phát và hệ thống xử lý cứng. Các nhà thiết kế chỉ cần tùy chỉnh kết nối liên thông, bỏ qua nhiều bước liên quan đến quy trình thiết kế ASIC dựa trên phần tử và thay vào đó tập trung vào việc triển khai chức năng tùy chỉnh mong muốn. Về bản chất, ASIC có cấu trúc cung cấp mức tiêu thụ điện năng thấp hơn với chi phí đơn vị thấp hơn so với FPGA và thời gian đưa ra thị trường nhanh hơn với NRE thấp hơn so với ASIC dựa trên phần tử.

Chọn gì và khi nào?

Các nhà thiết kế và kiến ​​trúc sư hệ thống phải cân bằng giữa tính linh hoạt, hiệu năng, điện năng tiêu thụ và tổng chi phí sở hữu với thời gian theo yêu cầu của thị trường để đưa ra lựa chọn giải pháp công nghệ logic tùy chỉnh.

Hiệu năng và Công suất Tiêu thụ

Để tối đa hóa hiệu năng trên mỗi watt, ASIC dựa trên phần tử có thể là lựa chọn tốt nhất với chi phí đầu tư NRE trả trước cao hơn và chu kỳ thiết kế dài hơn so với FPGA hoặc thiết bị ASIC có cấu trúc. Lựa chọn này cũng giả định rằng sản phẩm không cần khả năng lập trình lại hoặc thay đổi các thuật toán trong vòng đời của sản phẩm.

Chi phí Phát triển và Sản xuất

Đối với các dự án liên quan đến chi phí phát triển, ASIC có cấu trúc hoặc FPGA có thể là những lựa chọn tốt nhất. Mặc dù ASIC có chi phí sản xuất trên mỗi đơn vị thấp nhất, nhưng nó có chi phí NRE cao nhất, do đó, tùy chọn này có thể chỉ có ý nghĩa đối với các thiết kế có kỳ vọng về khối lượng sản xuất cao hơn đáng kể. Các thiết kế FPGA thường không yêu cầu chi phí NRE trả trước và có thể mở rộng từ hàng trăm đến hàng trăm nghìn đơn vị. Nhờ lưu trình thiết kế được đơn giản hóa và tùy chỉnh, ASIC có cấu trúc có chi phí phát triển thấp hơn so với ASIC dựa trên phần tử trên các nút xử lý tương tự và có thể là một cách tiếp cận kinh tế để tiết kiệm chi phí và điện năng với khối lượng thấp hơn.

Thời gian đưa ra thị trường

Các dự án đặt thời gian đưa ra thị trường lên trên tất cả các yếu tố khác thì nên ưu tiên cân nhắc FPGA. Tùy thuộc vào độ phức tạp của thiết kế, một FPGA có thể mất vài tuần hoặc vài tháng để thiết kế. Một ASIC có cấu trúc mất khoảng sáu đến chín tháng tùy thuộc vào độ phức tạp, với ASIC dựa trên phần tử cần khoảng từ 18 đến 24 tháng.

Lập kế hoạch Đường dẫn Di chuyển

Khi triển khai các giải pháp logic tùy chỉnh, điều quan trọng là phải xem xét đường dẫn di chuyển có thể có từ loại công nghệ logic tùy chỉnh này sang loại công nghệ logic tùy chỉnh khác để hỗ trợ các nhu cầu trong vòng đời từ tạo mẫu và sản xuất ban đầu đến sản xuất hàng loạt. Một thiết kế được khởi tạo trên FPGA có thể được hoàn thiện trên ASIC có cấu trúc hoặc dựa trên phần tử. Tương tự như vậy, kiến ​​trúc sư hệ thống có thể chuyển từ ASIC có cấu trúc sang dựa trên phần tử để mở rộng quy mô khối lượng một cách vượt bậc.

Tuy nhiên, việc chuyển từ loại phần cứng này sang loại phần cứng khác có thể đòi hỏi những thay đổi không chỉ đối với bảng mạch in (PCB) mà còn đối với sở hữu trí tuệ, cũng như bộ xử lý và phát triển phần mềm liên quan. Những thay đổi này làm tăng thêm thời gian và chi phí cho quá trình chuyển đổi.

FPGA Intel®, ASIC có cấu trúc và ASIC dựa trên phần tử

Việc sử dụng nhiều nhà cung cấp để phát triển FPGA, ASIC có cấu trúc và ASIC dựa trên phần tử có thể dẫn đến những thách thức về khả năng tương thích và dịch thiết kế từ quy trình phát triển này sang quy trình phát triển khác, làm chậm thời gian đưa ra thị trường.

Trong việc cung cấp liên tục hoàn chỉnh các giải pháp logic tùy chỉnh, Intel hợp lý hóa quá trình di chuyển và giảm số lượng công việc phải làm lại tiềm năng. Giờ đây, các nhà thiết kế có nhiều lựa chọn giải pháp để tối ưu hóa tính linh hoạt, điện năng, hiệu năng, chi phí và thời gian theo yêu cầu đưa ra thị trường cho từng dự án và trong suốt vòng đời sản phẩm. FPGA Intel® cung cấp thời gian đưa ra thị trường nhanh chóng với tính linh hoạt cao nhất. ASIC có cấu trúc Intel® eASIC™ giúp giảm mức tiêu thụ điện năng và chi phí cho mỗi thiết bị đồng thời cung cấp NRE thấp hơn và thời gian bán ra thị trường nhanh hơn so với ASIC dựa trên phần tử.

Lựa chọn nâng cao này cũng được hỗ trợ với bộ xử lý cứng tương thích và hệ thống bảo mật được tận dụng từ FPGA Intel® và các giải pháp gói tùy chỉnh. Điều này giúp các nhà sản xuất có thể tránh được những nỗ lực thiết kế lại PCB tốn kém.

Intel® FPGA

Các sản phẩm FPGA Intel® được sử dụng trong phần lớn các dòng, bao gồm cả dòng Intel® Agilex™ và Intel® Stratix® được nhiều tán thành. Được xây dựng để có tốc độ cao trong một hộp điện năng nhỏ, FPGA Intel® giúp kiến ​​trúc sư hệ thống duy trì giới hạn về hiệu năng, công suất và giá cả trong khi đạt được thời gian bán ra thị trường nhanh chóng. Và bởi vì chúng chia sẻ IP chung với các ASIC có cấu trúc mới nhất của Intel, các FPGA này mang lại lợi thế như một điểm khởi đầu cho các thiết kế có thể chuyển sang ASIC có cấu trúc trong tương lai.

Thiết bị Intel® eASIC™

Thiết bị Intel® eASIC™ là ASIC có cấu trúc được thiết kế để giúp giảm điện năng và chi phí trên mỗi đơn vị so với FPGA có NRE thấp hơn và thời gian đưa ra thị trường nhanh hơn so với ASIC dựa trên phần tử.

Intel® eASIC™ N5X lần đầu tiên bổ sung hệ thống bộ xử lý cứng lõi tứ và trình quản lý thiết bị bảo mật, được thích ứng từ FPGA Intel® Agilex™.

Các giao diện bao gồm JESD204 ADC/DAC và các giao thức kết nối bao gồm Ethernet 10/25G khả dụng cho cả các thiết bị FPGA Intel® và Intel® eASIC™ N5X để dễ dàng di chuyển thiết kế.

Thiết bị Intel® easicopy™

Để có một đường dẫn liền mạch từ ASIC có cấu trúc đến dựa trên phần tử, các thiết bị Intel® easicopy™ cho phép chuyển đổi sang sản xuất số lượng rất lớn. Các thiết bị này hoạt động bằng cách triển khai thiết kế của khách hàng trong các cổng phần tử tiêu chuẩn nhưng mượn một số bộ xử lý, bảo mật, bộ thu phát và IO IP từ các họ ASIC có cấu trúc.

Công Cụ cho Nhà Phát Triển

Ngoài phần cứng, Intel cung cấp các công cụ và phần mềm dành cho nhà phát triển. Các công cụ dành cho nhà phát triển như Phần mềm Intel® Quartus® Prime Pro Edition giúp giảm thời gian phát triển và chi phí của các thiết kế FPGA.

Intel® eASIC™ eTools cung cấp một khuôn khổ để chuyển đổi và xác thực thiết kế bằng cách sử dụng kết hợp các công cụ của bên thứ ba được phát triển nội bộ và theo tiêu chuẩn ngành. Điều này bao gồm thư viện tổng hợp và mô phỏng, trình bao bọc IP để triển khai các chức năng eASIC cũng như tập lệnh để xác thực mã và chạy các công cụ tổng hợp và mô phỏng của bên thứ ba. Phần mềm Intel® Quartus® Platform Designer được sử dụng cho cấu hình hệ thống bộ xử lý cứng. Bộ xây dựng DSP cho FPGA Intel® cũng có thể xuất ra mã RTL đã có FPGA và eASIC™.

Với danh mục bán dẫn đa dạng, Intel cho phép các kiến ​​trúc sư hệ thống thiết kế các giải pháp vô cùng tùy chỉnh. Chỉ Intel cung cấp bộ xử lý Intel® Xeon®, FPGA Intel®, ASIC và các thiết bị ASIC có cấu trúc mới. Loại này bao gồm một chuỗi liên tục logic tùy chỉnh cho phép các kiến ​​trúc sư đáp ứng các nhu cầu riêng của họ về thời gian đưa ra thị trường, hiệu năng, công suất và chi phí.

Những câu hỏi thường gặp

FPGA và ASIC, dù có cấu trúc hay dựa trên phần tử, đều có thể đáp ứng các loại ứng dụng giống nhau — ví dụ, tăng tốc trong mạng không dây hoặc lưu trữ đám mây. Sự khác biệt sẽ đến ở sự linh hoạt để thích ứng với các yêu cầu ngày càng tăng, hiệu năng và hiệu suất nguồn điện cũng như thời gian và chi phí phát triển.

FPGA lý tưởng khi cố gắng đạt được thời gian nhanh nhất để đưa ra thị trường hoặc nếu phần cứng được lên kế hoạch lập trình lại để thực hiện một chức năng khác trong tương lai. ASIC có cấu trúc là một lựa chọn tốt hơn khi chức năng được cố định và không thay đổi, trong khi mức tiêu thụ điện năng và chi phí đơn vị thấp hơn quan trọng hơn.

Khi một chức năng có thể được sửa chữa, nó có thể có ý nghĩa từ góc độ sức mạnh, hiệu suất và chi phí để chuyển từ FPGA sang ASIC có cấu trúc.

ASIC có cấu trúc và dựa trên phần tử đều có chi phí trên mỗi đơn vị thấp hơn FPGA. Chúng cũng mang lại hiệu năng cao hơn và tiêu thụ điện năng thấp hơn FPGA. Sự đánh đổi là chi phí NRE tăng thêm, chu kỳ phát triển dài hơn đáng kể và thiếu khả năng lập trình do FPGA cung cấp.